Specify Xilinx's simulation library method in modelsim

1. Batch command A, start-run: cmd Enter in the DOS window: " compxlib –s mTI_se –f all –l all –oc:\Modeltech _6.5d\xilinx_libs –pc:\Modeltech _6.5d\win32 ” c: \Modeltech _6.5d is the installation directory for modelsim. The entire running time will be very long.
B. After the library is successfully edited, add the library in the modelsim.ini file in the installation directory: Xilinx_CoreLib = C:\modeltech_6.5\xilinx_libs\XilinxCoreLib Xilinx_unisim = C:\modeltech_6.5\xilinx_libs\unisim Xilinx_simprim = C:\ Modeltech_6.5\xilinx_libs\simprim Note that the read-only attribute is removed. Turn off the project and restart modelsim
2. There is a tool in Xilinx ISE that converts directly to OK. Windows start menu -ise-accesserise-SimulaTIon library compilaTIon wizard, graphical interface, specify the compiled library address, you can choose the library you need. Others are consistent with the above.
3, manual method: modelsim generates 3 libraries. First, let's introduce the three libraries. Simprim_ver: Used for post-layout simulation. Unisim_ver: If you want to do a comprehensive simulation, you have to compile this library. Xilinxcorelib_ver: If the core generated by CoreGen is called in the design, you need to compile the library. What we want to generate for modelsim is the standard library. The so-called standard library is the library that will be loaded automatically after modelsim runs. However, I am not very sure about this. Because I am still in the post-simulation, I still need to specify the path of the library for the simulation, otherwise modelsim can't find it.
The first step: In the modelsim environment, create a new project, the path of the project is the same as the path you want to store the library.
The second step: create a new library, the library name for simprim_ver. The first thing we want to build is this library.
Step 3: On the modelsim command bar, make the following command: vlog -work simprim_ver d:/Xilinx/verilog/src/simprims/*.v where d:/Xilinx is the installation path for my Xilinx, you put this Just change to yours. The following are all things that I want to change according to my own system environment, I will use green to mark, and add an underscore. After compiling, you will find a simprim folder in your project folder, which has a lot of folders. These are the libraries we want.
Step 4: Compile the other two libraries according to the above method. The commands you need to type are as follows: vlog -work unisim_ver d:/Xilinx/verilog/src/unisims/*.v vlog -work xilinxcorelib_ver d:/Xilinx/verilog/src/XilinxCoreLib/*.v If you want to compile Is the VHDL library, the libraries you need to build are simprim, unisim and xilinxcorelib. These three libraries modelsim instructions required are as follows: vcom -work simprim d: Xilinx / VHDL / src / simprims / simprim_Vcomponents.vhd vcom -work simprim d: Xilinx / VHDL / src / simprims / simprim_Vpackage.vhd vcom -work simprim d: Xilinx / VHDL / src / simprims / simprim_VITAL.vhd vcom -work unisim d: Xilinx / VHDL / src / unisims / unisim_VCOMP.vhd vcom -work unisim d: Xilinx / VHDL / src / unisims / unisim_VPKG.vhd vcom -work unisim d: Xilinx / VHDL / src / unisims / unisim_VITAL.vhd vcom -work unisim d: Xilinx / VHDL / src / unisims / unisim_VCFG4K.vhd vcom -work xilinxcorelib d: Xilinx / VHDL / src / XilinxCoreLib / * vhd about VHDL. In terms of aspect, I have not practiced it. If there is a mistake, it should not be a big problem.
Step 5: After the library is built, the next thing is to make it the standard library of modelsim. This only needs to modify the modelsim.ini file in the modelsim installation directory. The modification is as follows: [Library] std = $ MODEL_TECH /../ std ieee = $ MODEL_TECH /../ ieee verilog = $ MODEL_TECH /../ verilog vital2000 = $ MODEL_TECH /../ vital2000 std_developerskit = $ MODEL_TECH / ../std_developerskit synopsys = $ MODEL_TECH /../ synopsys modelsim_lib = $ MODEL_TECH /../ modelsim_lib simprim_ver = G: / EDA / Xilinx (library path, hereinafter the same) / simprim_ver unisim_ver = G: / EDA / Xilinx / unisim_ver Xilinxcorelib_ver = G:/EDA/Xilinx/xilinxcorelib_ver Note that this file is read-only. Remove this attribute before modifying it.
Step 6: Turn off the project and restart modelsim. Check if these 3 libraries are in the library box. If you see it, congratulations! At this point, you can use ISE to directly call Modelsim to do the simulation.

Wireless Headphones

Wireless Headphones,Best In Ear Headphones,Wireless On Ear Headphones,Wireless Bluetooth Headphones

TOPWAY EM ENTERPRISE LIMITED , https://www.topwayemltd.com